首页 > 论文范文 > 历史论文

小型三维测量仪毕业设计开题报告,数字频率测量仪毕业设计

2024-01-30  本文已影响 525人 

今天中国论文网小编为大家分享毕业论文、职称论文、论文查重、论文范文、硕博论文库、论文写作格式等内容。哪里有测量仪器-数字频率计的设计(Max+plusⅡ仿真)毕业设计论文?

数字频率计是一种基本的测量仪器。它被广泛应用与航天、电子、测控等领域。它利用VHDL硬件描述语言进行设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)实现数字频率计的设计原理及相关程序。通过了Max+plusⅡ软件进行仿真、硬件调 ... 你可以去这个网 址看看

这样类型的文章 我知道怎么写 老师有问题 包修改 包通过

↓↓↓↓↓下面可以找到我

摆渡

基于FPGA的频率计设计 毕业论文。。请高手帮忙,有重谢。。

这是大规模数字集成电路在系统可编程领域的经典课程设计。

数字频率计是近代电子技术领域的重要测量工具之一,租激虚同时也是其他许多领域广泛应用的测量仪器。数字频率计是在规定的基准时间内把测量的脉冲数记录下来,换算成频率并以数字形式显示出来。数字频率计用于测量信号(方波,正弦波或其他周期信号)的频率,并用十进制数字显示,它具有精度高,测量速度快,读数直观,使用方便等优点。

一个用VHDL语言实现的实例如下:

wWw.ZhaoSheng.Net

-- Project Name: 恒精度频率计弊燃

-- Target Devices: FPGA or CPLD

-- Revision 0.01 - File Created

-- Comments: clk--系统工作时钟,2MHz

-------------reset--系统复位信号,高电平有效

-------------Fx--为待测信号

-------------FreqNx--为待铅培测信号的计数值

-------------FreqNs--为标准信号的计数值

-------------Freq--为待测信号的频率

--

----------------------------------------------------------------------------------

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

----------------------------------------------------------

entity Cymometer is

generic(clk_freq : integer := 2000000);--系统工作时钟频率

Port ( clk : in STD_LOGIC;

reset : in STD_LOGIC;

Fx : in STD_LOGIC; ----待测信号

FreqNs : out natural;

FreqNx : out natural);

--Freq : out natural);

end Cymometer;

----------------------------------------------------------

architecture Behavioral of Cymometer is

----------------------------------------

signal start : STD_LOGIC;--此信号为高电平时计数器开始计数

signal CTRL : STD_LOGIC;--CTRL信号为待测信号和门控信号产生的计数器启动信号

signal CNTx : natural;--待测信号计数器

signal CNTs : natural;--标准信号计数器

----------------------------------------

begin

--***************************************--

--产生一个门控信号,高电平有效

GateCtrl : process(clk)

---------------------------

variable CNT0 : integer range 0 to 2_097_152;--门控信号计数器

---------------------------

begin

if rising_edge(clk) then

if reset='1' then

CNT0 := 0;

else

CNT0 := CNT0 + 1;

end if;

---------

if reset='1' then

start

wWw.lunwen.net.Cn中国论文网免费学术期刊论文发表,目录,论文查重入口,本科毕业论文怎么写,职称论文范文,论文摘要,论文文献资料,毕业论文格式,论文检测降重。 返回历史论文列表

展开剩余(
电气自动化可以用苹果电脑吗,电气工程师笔记本电脑如何选上一篇: 返回列表下一篇:

继续阅读

热门标签